【闰年判断】HDU-1076 An Easy Task
发布日期:2021-06-29 04:32:35 浏览次数:2 分类:技术文章

本文共 360 字,大约阅读时间需要 1 分钟。

在这里插入图片描述

在这里插入图片描述

注解

1、闰年判断:整除4但不能整除100,或者能整除400的年份。

代码

#include 
using namespace std;int isLeapYear(int year){
if( (year%4==0 && year%100!=0) || (year%400==0) ){
return 1; } else{
return 0; }} int main() {
int T; cin>>T; for(int i=0; i
>Y>>N; int start = Y; int num = 0; while(num

结果

在这里插入图片描述

转载地址:https://blog.csdn.net/zhanggirlzhangboy/article/details/103482013 如侵犯您的版权,请留言回复原文章的地址,我们会给您删除此文章,给您带来不便请您谅解!

上一篇:【结构体排序+同分数进一步排名+输入格式控制】HDU-1084 What Is Your Grade?
下一篇:【字符串+map+iterator】HDU-1075 What Are You Talking About

发表评论

最新留言

做的很好,不错不错
[***.243.131.199]2024年04月06日 22时59分09秒